coba_dulu

silahkan_menikmati_blog_ini

25 Oktober, 2010

Library dan Package pada VHDL

Di posting oleh Annaas Pamungkas
Tugas FPGA

Library IEEE:
        use IEEE.std_logic_1164.all;
        use IEEE.std_logic_textio.all;
        use IEEE.std_logic_arith.all;
        use IEEE.numeric_bit.all;
        use IEEE.numeric_std.all;
        use IEEE.std_logic_signed.all;
        use IEEE.std_logic_unsigned.all;
        use IEEE.math_real.all;
        use IEEE.math_complex.all;
 
 
Library STD:
      use STD.standard.all;
      use STD.textio.all;
 
 
Library WORK:
      Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut. 
 
contoh: 
      use WORK.data_types.all;
      dll.
 
 
 
 
 
Sumber:
http://www.cs.umbc.edu/portal/help/VHDL/stdpkg.html

Tidak ada komentar: